Module stm32f103xx_hal::examples [] [src]

Examples

In order of increasing complexity

Modules

_00_hello

Prints "Hello, world" on the OpenOCD console

_01_led

Turns the user LED on

_02_blinky

Blinks an LED

_03_delay

"Blinky" using delays instead of a timer

_04_serial

Serial interface loopback test

_05_pwm

Testing PWM output

_06_qei

Testing the Quadrature Encoder Interface

_07_mpu9250

Interfacing the MPU9250